您的位置:首页 >头条 >

摩尔定律捍卫者Intel 2030年芯片集成1万亿晶体管 你知道吗?

2023-03-02 15:43:27    来源:中国商业新闻网

摩尔定律捍卫者Intel:2030年处理器集成1万亿晶体管

Intel的创始人之一的戈登·摩尔是摩尔定律的提出者,指出芯片晶体管密度18-24个月翻倍,50多年来Intel也是最坚定的摩尔定律捍卫者,尽管这几年中质疑定律失效的声音也越来越多。

摩尔定律需要半导体工艺2年左右就要升级一代,才能实现晶体管翻倍的目标,对当前的芯片工艺来说难度可不小,不过Intel依然有办法,接下来会大量使用先进工艺及封装,小芯片设计、3D封装等手段可以不断提升密度。

在日前的2023英特尔中国战略媒体沟通会上,Intel中国研究院院长宋继强也谈到了Intel的两个小目标,都是指向2030年的。

一个2030年前服务器、客户端处理器能效10倍提升,一个是2030年处理器集成1万亿晶体管,这也是当前最高水平的10倍,因为Intel的Max GPU刚好实现了1000亿晶体管的水平。

实现10倍提升的难度不低,Intel之前提出的路径包括RibbonFET晶体管、PowerVIA背面供电、下代高NA EUV光刻机、Foveros 3D封装等技术多管齐下,才有可能实现。

想象力够丰富的可以畅想下2030年的CPU、显卡有多强大了。

关键词: 摩尔定律已经到头了 摩尔定律概念 摩尔定律现在还适用吗 光刻机成本

相关阅读